notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
Category listing - cad
Computer Aided Design utilities. - Number of ports in this category): 184

Ports marked with a * actually reside within another category but have cad listed as a secondary category.

netradiant Fork of GtkRadiant v1.5 FPS games map editor
20150621_7* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 20150621_7Version of this port present on the latest quarterly branch.
Maintainer: danfe@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2013-09-06 12:30:19
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: games
License: GPLv2
Dependency lines:
  • netradiant>0:games/netradiant
ngspice_rework Mixed-signal circuit simulator derived from Spice and Cider
41_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 41_1Version of this port present on the latest quarterly branch.
Maintainer: kevinz5000@gmail.com search for ports maintained by this maintainer
Port Added: 2002-02-09 07:03:42
People watching this port, also watch:: sox, libmng, libglade2, libxml2, gconf2
License: BSD3CLAUSE BSD4CLAUSE LGPL21+
Dependency lines:
  • ngspice_rework>0:cad/ngspice_rework
nvc VHDL compiler and simulator
1.14.0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.14.0Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2019-10-23 15:08:51
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • nvc>0:cad/nvc
opencascade Open CASCADE Technology, 3D modeling & numerical simulation
7.8.1_3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 7.8.1_2Version of this port present on the latest quarterly branch.
Maintainer: thierry@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2007-04-01 09:05:05
People watching this port, also watch:: vim, firefox, kicad, poudriere, python
Also Listed In: science
License: OCTPL
Dependency lines:
  • opencascade>0:cad/opencascade
opencascade740 Open CASCADE Technology, 3D modeling & numerical simulation
7.4.0_4 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 7.4.0_4Version of this port present on the latest quarterly branch.
Maintainer: thierry@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-01-30 06:06:37
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: science
License: OCTPL
Dependency lines:
  • opencascade740>0:cad/opencascade740
openctm File format for compression of 3D triangle meshes
1.0.3_4 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.0.3_4Version of this port present on the latest quarterly branch.
Maintainer: db@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-03-15 00:39:46
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: BSD3CLAUSE
Dependency lines:
  • openctm>0:cad/openctm
openfpgaloader Universal utility for programming FPGA
0.12.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.12.1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-08-11 08:29:57
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: AGPLv3
Dependency lines:
  • openfpgaloader>0:cad/openfpgaloader
openmodelica Modelica-based modeling and simulation environment
1.24.0* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.23.1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-12-14 00:05:48
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: java science
License: OSMC-PL
Dependency lines:
  • openmodelica>0:science/openmodelica
openroad ASIC physical design tool
2.0.11595_6,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2.0.11595_5,1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-09-14 16:16:23
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: BSD3CLAUSE
Dependency lines:
  • openroad>0:cad/openroad
openscad Programmer's solid 3D CAD modeller
2024.10.11_3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2024.10.11_1Version of this port present on the latest quarterly branch.
Maintainer: mr@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2011-08-19 11:02:29
People watching this port, also watch:: makemkv, CuraEngine, PrusaSlicer, FreeCAD, conan
License: GPLv2+
Dependency lines:
  • openscad>0:cad/openscad
openscad-devel Programmer's solid 3D CAD modeller
2024.10.11_3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2024.10.11_1Version of this port present on the latest quarterly branch.
Maintainer: mr@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2018-04-22 18:15:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2+
Dependency lines:
  • openscad-devel>0:cad/openscad-devel
opentimer High-performance timing analysis tool for VLSI systems
g20221116 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20221116Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-09-12 23:33:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: MIT
Dependency lines:
  • opentimer>0:cad/opentimer
openvsp Create a 3D model of an aircraft defined by engineering parameters
3.40.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.40.1Version of this port present on the latest quarterly branch.
Maintainer: fernape@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2012-04-22 12:07:14
People watching this port, also watch:: lapce, xxd, ollama, opencv, ungoogled-chromium
License: NOSA13
Dependency lines:
  • openvsp>0:cad/openvsp
oregano Schematic capture and circuit simulator
0.84.43_6 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.84.43_6Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2001-03-07 08:00:31
People watching this port, also watch:: qemu, subversion, pcb, unzip, emacs
License: GPLv2
Dependency lines:
  • oregano>0:cad/oregano
p5-GDS2 GDS2 stream module
3.35 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.35Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2007-04-29 07:22:53
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: perl5
License: ART10
Dependency lines:
  • p5-GDS2>0:cad/p5-GDS2
p5-Verilog-Perl Building point for Verilog support in the Perl language
3.478 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.478Version of this port present on the latest quarterly branch.
Maintainer: otacilio.neto@bsd.com.br search for ports maintained by this maintainer
Port Added: 2009-05-26 11:01:59
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: perl5
License: ART20 LGPL3
Dependency lines:
  • p5-Verilog-Perl>0:cad/p5-Verilog-Perl
padring Padring generator for ASICs
g20210731 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20210731Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-12-30 06:18:03
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: ISCL
Dependency lines:
  • padring>0:cad/padring
pcb X11 interactive printed circuit board layout system
4.2.0_4,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 4.2.0_4,1Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: unknown
People watching this port, also watch:: python, gnupg, unzip, libiconv, zip
License: GPLv2
Dependency lines:
  • pcb>0:cad/pcb
pcb-rnd Flexible, modular Printed Circuit Board editor
3.1.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.1.1Version of this port present on the latest quarterly branch.
Maintainer: hasdalcodes@gmail.com search for ports maintained by this maintainer
Port Added: 2022-06-05 15:27:08
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • pcb-rnd>0:cad/pcb-rnd
pdnmesh Mesh generator and solver for Finite Element problems
0.2.2_23 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.2.2_23Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2001-10-14 14:54:31
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2+
Dependency lines:
  • pdnmesh>0:cad/pdnmesh
processing Programming environment for images, animation, and interactions
1.5.1,1* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.5.1,1Version of this port present on the latest quarterly branch.
Maintainer: skreuzer@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2008-06-20 11:31:02
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: graphics java
License: GPLv2
Dependency lines:
  • processing>0:graphics/processing
pulseview GUI client that supports various hardware logic analyzers
0.4.2_5* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.4.2_4Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2014-07-31 23:22:50
People watching this port, also watch:: eclipse, geda, libsigrok, arduino, sigrok-cli
Also Listed In: science
License: GPLv3
Dependency lines:
  • pulseview>0:science/pulseview
py-amaranth Amaranth hardware definition language
0.5.2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.5.2Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-07-28 07:48:16
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: BSD3CLAUSE
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}amaranth>0:cad/py-amaranth@${PY_FLAVOR}
py-cocotb Coroutine based cosimulation library for writing VHDL and Verilog
1.9.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.9.1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-02-04 07:00:17
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: BSD3CLAUSE
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}cocotb>0:cad/py-cocotb@${PY_FLAVOR}
py-csxcad Python binding for csxcad, C++ library to describe geometrical objects
0.6.3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.6.3Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2024-08-28 15:27:10
License: GPLv3
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}csxcad>0:cad/py-csxcad@${PY_FLAVOR}
py-edalize Library for interfacing EDA tools
0.5.4 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.5.4Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-08 09:42:28
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: BSD2CLAUSE
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}edalize>0:cad/py-edalize@${PY_FLAVOR}
py-ezdxf Create and modify DXF drawings
1.0.2_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.0.2_1Version of this port present on the latest quarterly branch.
Maintainer: ports@nicandneal.net search for ports maintained by this maintainer
Port Added: 2020-07-30 19:43:49
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}ezdxf>0:cad/py-ezdxf@${PY_FLAVOR}
py-gdspy Python module for creating GDSII stream files
1.6.11_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.6.11_1Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-05-16 19:49:15
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: BSL
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}gdspy>0:cad/py-gdspy@${PY_FLAVOR}
py-gdstk Library for creation and manipulation of GDSII and OASIS files
0.9.55 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.9.55Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-08-28 16:54:05
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: BSL
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}gdstk>0:cad/py-gdstk@${PY_FLAVOR}
py-gmsh Automatic 3D finite element mesh generator (gmsh's own binding)
4.13.1_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 4.13.1_1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-12-20 04:21:10
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: AGPLv3+ APACHE20 GPLv3+ GPLv2+ LGPL21
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}gmsh>0:cad/py-gmsh@${PY_FLAVOR}
py-lcapy Symbolic MDA Analysis Package for Linear Circuits
g20171202_5,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20171202_5,1Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-05-16 17:34:48
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: LGPL21
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}lcapy>0:cad/py-lcapy@${PY_FLAVOR}
py-meshio I/O for many mesh formats
5.3.5* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 5.3.5Version of this port present on the latest quarterly branch.
Maintainer: thierry@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-11-19 16:45:07
People watching this port, also watch:: wa-aspell, medit, ittapi, php81-pear, apache-log4j2
Also Listed In: python science
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}meshio>0:science/py-meshio@${PY_FLAVOR}
py-phidl GDS-based CAD tool for photonic and superconducting circuits
1.0.1_2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.0.1_2Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2019-03-15 13:58:43
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}phidl>0:cad/py-phidl@${PY_FLAVOR}
py-pyfda GUI tool for designing and analysing discrete time filters
0.1_13 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.1_13Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2018-02-03 20:55:22
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: devel python
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}pyfda>0:cad/py-pyfda@${PY_FLAVOR}
py-pygmsh Python frontend for Gmsh (on top of Gmsh's own binding)
7.1.17_2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 7.1.17_2Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-12-20 08:15:16
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: GPLv3
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}pygmsh>0:cad/py-pygmsh@${PY_FLAVOR}
py-pymtl Python-based hardware generation, simulation, verification framework
3.1.16 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.1.16Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-12-27 02:33:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: BSD3CLAUSE
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}pymtl3>0:cad/py-pymtl@${PY_FLAVOR}
py-pyvcd Python VCD file support
0.4.0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.4.0Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-07-28 06:06:12
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}pyvcd>0:cad/py-pyvcd@${PY_FLAVOR}
py-sdf Simple SDF mesh generation in Python
0.1_2* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.1_2Version of this port present on the latest quarterly branch.
Maintainer: thierry@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-11-19 16:45:11
People watching this port, also watch:: wa-aspell, medit, ittapi, php81-pear, apache-log4j2
Also Listed In: python science
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}sdf>0:science/py-sdf@${PY_FLAVOR}
py-trimesh Library for loading and using triangular meshes
3.5.25_5* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.5.25_5Version of this port present on the latest quarterly branch.
Maintainer: db@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-03-25 01:11:39
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: devel python
License: MIT
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}trimesh>0:devel/py-trimesh@${PY_FLAVOR}
py-vunit-hdl Open source unit testing framework for VHDL/SystemVerilog
4.7.0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 4.7.0Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-08 09:42:25
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: python
License: MPL20
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}vunit-hdl>0:cad/py-vunit-hdl@${PY_FLAVOR}
python-gdsii GDSII manipulation library
0.2.1_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.2.1_1Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-11-10 19:38:54
People watching this port, also watch:: jdictionary, py311-Automat, py39-PyOpenGL, ja-font-marumoji, p5-Sane
Also Listed In: python
License: LGPL3+
Dependency lines:
  • ${PYTHON_PKGNAMEPREFIX}python-gdsii>0:cad/python-gdsii@${PY_FLAVOR}
qcad Professional CAD system
3.30.1.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.30.1.1Version of this port present on the latest quarterly branch.
Maintainer: mr@FreeBSD.org search for ports maintained by this maintainer
Port Added: unknown
People watching this port, also watch:: aspell, nmap, unzip, xpdf, zip
License: GPLv3
Dependency lines:
  • qcad>0:cad/qcad
qcsxcad Qt-GUI for CSXCAD
0.6.3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.6.3Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-08-19 08:48:22
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • qcsxcad>0:cad/qcsxcad
qelectrotech Application to design electric diagrams
0.9.0_1,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.9.0_1,1Version of this port present on the latest quarterly branch.
Maintainer: arrowd@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2010-07-21 09:58:33
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • qelectrotech>0:cad/qelectrotech
qflow End-to-end digital synthesis flow for ASIC designs
1.4.103 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.4.103Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-03-22 10:02:52
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • qflow>0:cad/qflow
qmls Quine-McCluskey Logic Simplifier
0.2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.2Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2001-12-10 02:18:40
People watching this port, also watch:: libxml2, libmng, libglade2, pcb, nasm
License: not specified in port
Dependency lines:
  • qmls>0:cad/qmls
qrouter Tool to generate metal layers and vias
1.4.88 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.4.87Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-03-19 05:20:23
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • qrouter>0:cad/qrouter
qspeakers Open source loudspeaker enclosure designer
1.6.8 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.6.8Version of this port present on the latest quarterly branch.
Maintainer: riggs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-06-21 08:06:12
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • qspeakers>0:cad/qspeakers
qucs-s Quite Universal Circuit Simulator: GUI for circuit simulation kernels
24.1.0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 24.1.0Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-07-08 07:45:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • qucs-s>0:cad/qucs-s
qucsator Circuit simulator of the Qucs project
0.0.20.4 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.0.20.4Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-07-09 18:54:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • qucsator>0:cad/qucsator
repsnapper Controller and GCode generator for RepRap 3D printers
2.5.a4_11 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2.5.a4_11Version of this port present on the latest quarterly branch.
Maintainer: martin.dieringer@gmx.de search for ports maintained by this maintainer
Port Added: 2012-09-28 22:32:39
People watching this port, also watch:: oss, monit, proggy_fonts-ttf, inotify-tools
License: GPLv2 BSD3CLAUSE MIT
Dependency lines:
  • repsnapper>0:cad/repsnapper
rubygem-gdsii GDSII reader and writer
1.0.0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.0.0Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-05-16 17:38:08
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: rubygems
License: MIT
Dependency lines:
  • rubygem-ruby-gdsii>0:cad/rubygem-gdsii
scilab Scientific software package for numerical computations
6.1.1_18* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 6.1.1_18Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2002-03-22 21:18:31
People watching this port, also watch:: sudo, vim, xpdf, p5-MIME-Tools, p5-libwww
Also Listed In: java math
License: GPLv2 BSD3CLAUSE
Dependency lines:
  • scilab>0:math/scilab
scotch Package for graph and mesh partitioning and sparse matrix ordering
6.0.4.a7_6 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 6.0.4.a7_6Version of this port present on the latest quarterly branch.
Maintainer: thierry@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2006-02-03 22:23:39
People watching this port, also watch:: wa-aspell, medit, ittapi, php81-pear, apache-log4j2
Also Listed In: science
License: CeCILL_C
Dependency lines:
  • scotch>0:cad/scotch
sigrok-cli Framework for hardware logic analyzers, CLI client
0.7.2_2* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.7.2_2Version of this port present on the latest quarterly branch.
Maintainer: bofh@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2014-01-03 00:13:28
People watching this port, also watch:: libsigrok, rdesktop, monit, nullmailer, chromium
Also Listed In: science
License: GPLv3
Dependency lines:
  • sigrok-cli>0:science/sigrok-cli
silice Language that simplifies prototyping and writing algorithms for FPGAs
g20221229_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20221229_1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-08 09:42:33
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • silice>0:cad/silice
solvespace Parametric 2d/3d CAD
3.1_2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.1_2Version of this port present on the latest quarterly branch.
Maintainer: lbartoletti@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-11-10 01:08:53
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3+
Dependency lines:
  • solvespace>0:cad/solvespace
sp2sp Processor of waveform data generated by SPICE-type simulators
20090213_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 20090213_1Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-11-05 18:52:44
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • sp2sp>0:cad/sp2sp
spice General-purpose circuit simulation program
3f5.2_15 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3f5.2_15Version of this port present on the latest quarterly branch.
Maintainer: hrs@FreeBSD.org search for ports maintained by this maintainer
Port Added: unknown
People watching this port, also watch:: aide, pth, gnuls, autoconf, OpenSSH-askpass
License: MIT
Dependency lines:
  • spice>0:cad/spice
stepcode Generates C++ and Python from ISO10303 files
0.8.2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.8.2Version of this port present on the latest quarterly branch.
Maintainer: fernape@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2015-07-03 14:45:48
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: BSD3CLAUSE
Dependency lines:
  • stepcode>0:cad/stepcode
stm32flash Flash program for STM32 using the ST serial bootloader
0.7 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.7Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-11-18 08:11:27
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • stm32flash>0:cad/stm32flash
sumo Traffic simulation suite for road vehicles, public transportation, etc
1.2.0_17 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.2.0_17Version of this port present on the latest quarterly branch.
Maintainer: enriquefynn@gmail.com search for ports maintained by this maintainer
Port Added: 2017-12-03 19:21:08
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: not specified in port
Dependency lines:
  • sumo>0:cad/sumo
surelog SystemVerilog 2017 Pre-processor, Parser, Elaborator, etc
1.84 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.84Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-12-27 17:12:04
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: APACHE20
Dependency lines:
  • surelog>0:cad/surelog
svlint SystemVerilog linter
0.9.3_3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.9.3_3Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-03 00:39:41
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: devel
License: MIT
Dependency lines:
  • svlint>0:cad/svlint
svls SystemVerilog language server
0.2.12_3 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.2.12_3Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-06 07:21:08
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: MIT
Dependency lines:
  • svls>0:cad/svls
sweethome3d Free interior 3D design application
7.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 7.1Version of this port present on the latest quarterly branch.
Maintainer: danfe@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2013-03-21 20:17:09
People watching this port, also watch:: go, xfce, pdfgrep, openssl, iridium-browser
Also Listed In: java
License: GPLv2+
Dependency lines:
  • sweethome3d>0:cad/sweethome3d
symbiyosys SymbiYosys (sby): Front-end for Yosys-based formal verification flows
0.46 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.45Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2024-01-11 05:12:08
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: ISCL
Dependency lines:
  • symbiyosys>0:cad/symbiyosys
tkgate Event driven digital circuit simulator
2.1_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2.1_1Version of this port present on the latest quarterly branch.
Broken BROKEN: Unfetchable
Deprecated DEPRECATED: Upstream is gone
Expiration Date EXPIRATION DATE: 2024-10-31
Ignore IGNORE: is marked as broken: Unfetchable
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: unknown
People watching this port, also watch:: libxml2, netcat, autoconf, pcb, nasm
License: GPLv2
Dependency lines:
  • tkgate>0:cad/tkgate
tochnog Free explicit/implicit Finite Element Program
20140100_12 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 20140100_12Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2003-07-13 05:38:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2
Dependency lines:
  • tochnog>0:cad/tochnog
trenchbroom Cross-platform level editor for Quake-engine based games
2.0.0.b_12* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2.0.0.b_12Version of this port present on the latest quarterly branch.
Maintainer: danfe@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2016-03-25 06:47:33
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: games
License: GPLv3
Dependency lines:
  • trenchbroom>0:games/trenchbroom
uhdm Universal Hardware Data Model
1.84 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.84Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2021-12-27 17:33:06
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: APACHE20
Dependency lines:
  • uhdm>0:cad/uhdm
uranium Python framework for 3D printing applications
5.7.0,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 5.7.0,1Version of this port present on the latest quarterly branch.
Maintainer: db@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-03-25 23:05:20
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: LGPL3
Dependency lines:
  • Uranium>0:cad/uranium
veditor Verilog editor plugin for eclipse
1.2.2_5* search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.2.2_5Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2006-12-09 13:00:50
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: java
License: not specified in port
Dependency lines:
  • veditor>0:java/veditor
verilator Synthesizable Verilog to C++ compiler
5.028 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 5.028Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2019-01-17 23:27:28
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • verilator>0:cad/verilator
verilog-mode.el Emacs lisp modules for the Verilog language
801_22 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 801_22Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2009-01-12 09:45:26
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
Also Listed In: elisp
License: GPLv3+
Dependency lines:
  • verilog-mode.el>0:cad/verilog-mode.el
veroroute PCB (printed circuit board) design software
2.39,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2.39,1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-02-02 03:13:03
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • veroroute>0:cad/veroroute
veryl Veryl: A modern Hardware Description Language (HDL)
0.13.1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.13.0_1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-01-06 07:21:20
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: APACHE20 MIT
Dependency lines:
  • veryl>0:cad/veryl
xcircuit X11 circuit schematics drawing program
3.10.30_2,1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 3.10.30_2,1Version of this port present on the latest quarterly branch.
Maintainer: danilo@FreeBSD.org search for ports maintained by this maintainer
Port Added: unknown
People watching this port, also watch:: aalib, dia, libwmf, libxml2, nasm
License: GPLv2
Dependency lines:
  • xcircuit>0:cad/xcircuit
xyce Xyce electronic simulator
7.8.0_5 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 7.8.0_5Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2022-07-09 05:48:25
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • xyce>0:cad/xyce
yosys Yosys Open SYnthesis Suite
0.46 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.45Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-01-04 18:47:36
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: ISCL
Dependency lines:
  • yosys>0:cad/yosys
yosys-ghdl-plugin GHDL synthesis plugin for yosys
g20230930_1 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20230930_1Version of this port present on the latest quarterly branch.
Maintainer: nsonack@outlook.com search for ports maintained by this maintainer
Port Added: 2023-10-19 07:13:57
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv3
Dependency lines:
  • yosys-ghdl-plugin>0:cad/yosys-ghdl-plugin
yosys-systemverilog SystemVerilog support for Yosys
2023.06.14 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 2023.06.14Version of this port present on the latest quarterly branch.
Broken BROKEN: incompatible yet with the latest cad/uhdm, see https://github.com/antmicro/yosys-systemverilog/issues/1845
Ignore IGNORE: is marked as broken: incompatible yet with the latest cad/uhdm, see https://github.com/antmicro/yosys-systemverilog/issues/1845
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2023-06-06 21:12:21
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: APACHE20
Dependency lines:
  • yosys-systemverilog>0:cad/yosys-systemverilog
z88 Compact Finite Element Analysis System
15_2 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 15_2Version of this port present on the latest quarterly branch.
There is no maintainer for this port.
Any concerns regarding this port should be directed to the FreeBSD Ports mailing list via ports@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2005-01-22 16:28:23
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: GPLv2+
Dependency lines:
  • z88>0:cad/z88
zcad Simple CAD program
0.9.8.5_15 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.9.8.5_14Version of this port present on the latest quarterly branch.
Broken BROKEN: Can't find unit TransferMacros used by uzmacros
Ignore IGNORE: is marked as broken: Can't find unit TransferMacros used by uzmacros
Maintainer: danfe@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2017-05-02 18:50:50
People watching this port, also watch:: jdictionary, py311-Automat, py311-python-gdsii, py39-PyOpenGL, p5-Sane
License: not specified in port
Dependency lines:
  • zcad-gtk2>0:cad/zcad